Digital Design An Embedded Systems Approach Using Verilog



Verilog Digital Design —Chapter 8 —I/O Interfacing 2 I/O Devices and Transducers Transducers convert between real-world effects and digital representation Input transducers: sensors May require analog-to-digital converter (ADC) Output transducers: actuators May require digital-to-analog converter (DAC) Human-interface devices.

Digital Design An Embedded System Approach Using Verilog Ppt

Embedded

Digital Design An Embedded Systems Approach Using Verilog Pdf

Systems

Digital Design An Embedded Systems Approach Using Verilog Solution Manual

Digital Design An Embedded Systems Approach Using Verilog

Digital design an embedded systems approach using Verilog by Peter J. Ashenden ISBN 13: 277 ISBN 10: Paperback; Burlington, MA: Morgan Kaufmann Publishers, 2007; ISBN-13: 9277. Digital Design: An Embedded Systems Approach Using Verilog provides a foundation in digital design for students in computer engineering, electrical engineering and computer science courses. It takes an up-to-date and modern approach of presenting digital logic design as an activity. Digital Design: An Embedded Systems Approach Using VERILOG Ashenden on Amazon.com.FREE. shipping on qualifying offers. Digital Design: An Embedded Systems Approach Using VERILOG.

Teaching‎ > ‎

Digital Logic

1st year CTI-English, 2nd semester, year 2012/2013 - orar CTI_Eng_I. This page can also be found at: cs.upt.ro/~alext/dl


  1. Presentation I: 17.06.2013
  2. Presentation II: 24.06.2013
  3. Presentation III: September 2013

Laboratory
8-1010-1212-14 14-16 16-18 18-20
Monday


Tuesday1.11.2 (odd)
2.2 (even)
2.12.2 (odd)
1.2 (even)
Wednesday
Thursday
Friday
odd weeks: 1, 3, 5 ... 13 / even weeks: 2, 4, 6, ... 14
  • Laboratory: B520
  • Duration: weeks 1-14
  • Lab exams: weeks 8 and 14, B520
  • Presentations: week 13, B520 - details
Resources

  • Logicly (Logic gates and circuits designer)
  1. Online version - free, browser based, but you cannot open or save files
  2. Full desktop version (found at the bottom of the page as Logicly.zip) - 20MB after installation
  • Altera DE2 Board (FPGA used in the laboratory)
  1. User manual: DE2_UserManual.pdf
  2. Altera DE2 Pin Assignments
  • Wikipedia - suggested reading
  • Digital Electronics - introduction, advantages & disadvantages
  • Electronic Circuit - short overview of analog and digital circuits
  • Boolean Algebra - history, variables, operations, laws
  • Logic Gate - definition, symbols, universal logic gates
  • Multiplexer and multiplexing - digital multiplexers, digital demultiplexers, types of multiplexing, application areas
  • Integrated circuits: 7400 Series, list of 7400 integrated circuits
  • Integrated Circuits
  • History and production technologies: crystals, silicon, patterns, wafers, stepping, UV, photo-lithography, wiring etc. (0, 1, 2, 3)
  • Books - supplement to lectures & lab (to be found at the bottom of the page as pdf files; don't sell them, it's illegal)
  • Digital Design - An Embedded Systems Approach Using Verilog,Peter J. Ashenden - topics on digital logic with code examples in Verilog.
  • Fundamentals of Digital Logic with VHDL Design, Stephen Brown, Zvonko Vranesic - same as the above, but with code examples in VHDL.
  • Verilog HDL - A Guide to Digital Design and Synthesis, Samir Palnitkar - full introduction to Verilog, from basic to advanced topics, for anyone wanting to (truly) learn Verilog.

Source Code
1. Combinational 5-bit adder
3. LFSR
Code Snippets

input [3:0]x;
output [6:0]y;
reg [6:0]y;
always @(*)
begin
case(x)
4'd0: y = 7'b1000000;
4'd1: y = 7'b1111001;
4'd2: y = 7'b0100100;
4'd3: y = 7'b0110000;
4'd4: y = 7'b0011001;
4'd5: y = 7'b0010010;
4'd6: y = 7'b0000010;
4'd7: y = 7'b1111000;
4'd8: y = 7'b0000000;
4'd9: y = 7'b0010000;
default : y = 7'b1111111;
endcase
end
endmodule

output s, cout;
assign s = x ^ y;


output s, cout;
/*
assign s = x ^ y ^ cin;
*/
/*
wire ws, wc1, wc2;
hac h1(x, y, ws, wc1);

*/
// var 3 - 'software adept' assignment










output [7:0]y;
reg [7:0]y;
always @(*)
y = 8'b0;
3'd0: y = 8'b00000001;
3'd2: y = 8'b00000100;
3'd4: y = 8'b00010000;
3'd6: y = 8'b01000000;
endcase


parameter LIMIT = 8;
input clk, rst;
output overflow;
reg [NBITS-1:0]count, count_next;
always @(posedge clk or negedge rst)
if(rst 0)
else
end
always @(*)
if(count LIMIT-1)
else
end
assign overflow = (count 1) ? 1 : 0;
endmodule
























  • Codesign 2012


Logicly.zip